aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/examples/blackbox/blackbox3.vhdl
blob: 864247d93d5eb91df6e08bbcf2191b1899c5a234 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;

entity blackbox3 is
  port (a, b : std_logic;
        o : out std_logic);
end;

architecture behav of blackbox3 is
  component \lib__cell__box2.3\ is
    port (a, b : std_logic;
          \O\ : out std_logic);
  end component;
begin
  inst: \lib__cell__box2.3\
    port map (a => a, b => b, \O\ => o);
end behav;