diff options
author | Tristan Gingold <tgingold@free.fr> | 2019-12-05 22:32:49 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2019-12-05 22:32:49 +0100 |
commit | 0833d3f90a29f0bce364833f20430968deeee0b7 (patch) | |
tree | 4f91c24c02956fe435de2c079258cc76eb7324b0 /testsuite | |
parent | 41222e9d1193dedc407158352f7180dc6d5ee655 (diff) | |
download | ghdl-yosys-plugin-0833d3f90a29f0bce364833f20430968deeee0b7.tar.gz ghdl-yosys-plugin-0833d3f90a29f0bce364833f20430968deeee0b7.tar.bz2 ghdl-yosys-plugin-0833d3f90a29f0bce364833f20430968deeee0b7.zip |
Add testcase for #73
Diffstat (limited to 'testsuite')
-rw-r--r-- | testsuite/issues/issue73/cell1.vhdl | 14 | ||||
-rw-r--r-- | testsuite/issues/issue73/cell2.vhdl | 13 | ||||
-rw-r--r-- | testsuite/issues/issue73/multi.vhdl | 27 | ||||
-rwxr-xr-x | testsuite/issues/issue73/testsuite.sh | 9 |
4 files changed, 63 insertions, 0 deletions
diff --git a/testsuite/issues/issue73/cell1.vhdl b/testsuite/issues/issue73/cell1.vhdl new file mode 100644 index 0000000..32a5358 --- /dev/null +++ b/testsuite/issues/issue73/cell1.vhdl @@ -0,0 +1,14 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity cell1 is + port ( + O: out std_logic + ); +end entity cell1; + +architecture rtl of cell1 is +begin + O <= '0'; +end architecture rtl; + diff --git a/testsuite/issues/issue73/cell2.vhdl b/testsuite/issues/issue73/cell2.vhdl new file mode 100644 index 0000000..e55aac2 --- /dev/null +++ b/testsuite/issues/issue73/cell2.vhdl @@ -0,0 +1,13 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity cell2 is + port ( + O: out std_logic + ); +end entity cell2; + +architecture rtl of cell2 is +begin + O <= '1'; +end architecture rtl; diff --git a/testsuite/issues/issue73/multi.vhdl b/testsuite/issues/issue73/multi.vhdl new file mode 100644 index 0000000..3255b26 --- /dev/null +++ b/testsuite/issues/issue73/multi.vhdl @@ -0,0 +1,27 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity cell1 is + port ( + O: out std_logic + ); +end entity cell1; + +architecture rtl of cell1 is +begin + O <= '0'; +end architecture rtl; + +library ieee; +use ieee.std_logic_1164.all; + +entity cell2 is + port ( + O: out std_logic + ); +end entity cell2; + +architecture rtl of cell2 is +begin + O <= '1'; +end architecture rtl; diff --git a/testsuite/issues/issue73/testsuite.sh b/testsuite/issues/issue73/testsuite.sh new file mode 100755 index 0000000..b2485ed --- /dev/null +++ b/testsuite/issues/issue73/testsuite.sh @@ -0,0 +1,9 @@ +#!/bin/sh + +topdir=../.. +. $topdir/testenv.sh + +run_yosys -q -p "ghdl cell1.vhdl -e cell1; ghdl cell2.vhdl -e cell2" + +clean +echo OK |