blob: 3255b262fc4b145619a114d409d75d36dd35d617 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
|
library ieee;
use ieee.std_logic_1164.all;
entity cell1 is
port (
O: out std_logic
);
end entity cell1;
architecture rtl of cell1 is
begin
O <= '0';
end architecture rtl;
library ieee;
use ieee.std_logic_1164.all;
entity cell2 is
port (
O: out std_logic
);
end entity cell2;
architecture rtl of cell2 is
begin
O <= '1';
end architecture rtl;
|