aboutsummaryrefslogtreecommitdiffstats
path: root/debugger.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-07-29 23:32:53 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-07-29 23:32:53 +0100
commit22139faee8f39c1a2d5e03f35d586fcf3eda472b (patch)
treeec436d78e73a05cb42de6abdacdb357163e9c417 /debugger.vhd
parentabb341bccf06bca03f56d2bc7612b2f81721b454 (diff)
downloadfpga-bbc-22139faee8f39c1a2d5e03f35d586fcf3eda472b.tar.gz
fpga-bbc-22139faee8f39c1a2d5e03f35d586fcf3eda472b.tar.bz2
fpga-bbc-22139faee8f39c1a2d5e03f35d586fcf3eda472b.zip
Updated T65 CPU core from https://svn.pacedev.net/repos/pace/sw/src/component/cpu/t65/ to fix BRK bug. Changed default breakpoint and watchpoint addresses to 0xFFFF.
Diffstat (limited to 'debugger.vhd')
-rw-r--r--debugger.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/debugger.vhd b/debugger.vhd
index 0a4219d..bf82850 100644
--- a/debugger.vhd
+++ b/debugger.vhd
@@ -168,7 +168,7 @@ begin
process(CLOCK,nRESET)
begin
if nRESET = '0' then
- watchpoint <= (others => '0');
+ watchpoint <= (others => '1');
elsif rising_edge(CLOCK) and mode = modeWatch then
if r_set_n = '1' and nSET = '0' then
-- Increment selected digit on each button press
@@ -187,7 +187,7 @@ begin
process(CLOCK,nRESET)
begin
if nRESET = '0' then
- breakpoint <= (others => '0');
+ breakpoint <= (others => '1');
elsif rising_edge(CLOCK) and mode = modeBreak then
if r_set_n = '1' and nSET = '0' then
-- Increment selected digit on each button press