From 22139faee8f39c1a2d5e03f35d586fcf3eda472b Mon Sep 17 00:00:00 2001 From: Mike Stirling Date: Fri, 29 Jul 2011 23:32:53 +0100 Subject: Updated T65 CPU core from https://svn.pacedev.net/repos/pace/sw/src/component/cpu/t65/ to fix BRK bug. Changed default breakpoint and watchpoint addresses to 0xFFFF. --- debugger.vhd | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'debugger.vhd') diff --git a/debugger.vhd b/debugger.vhd index 0a4219d..bf82850 100644 --- a/debugger.vhd +++ b/debugger.vhd @@ -168,7 +168,7 @@ begin process(CLOCK,nRESET) begin if nRESET = '0' then - watchpoint <= (others => '0'); + watchpoint <= (others => '1'); elsif rising_edge(CLOCK) and mode = modeWatch then if r_set_n = '1' and nSET = '0' then -- Increment selected digit on each button press @@ -187,7 +187,7 @@ begin process(CLOCK,nRESET) begin if nRESET = '0' then - breakpoint <= (others => '0'); + breakpoint <= (others => '1'); elsif rising_edge(CLOCK) and mode = modeBreak then if r_set_n = '1' and nSET = '0' then -- Increment selected digit on each button press -- cgit v1.2.3