summaryrefslogtreecommitdiffstats
path: root/async_16bit_bus_adapter_hw/hdl/async_16bit_bus_adapter.vhd
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-14 20:14:11 +0100
committerJames <james.mckenzie@citrix.com>2013-10-14 20:14:11 +0100
commit732bed585423e7ec853a1d8d1c14c0694d2235b9 (patch)
tree7bee547041872acaa11c264849a01678c397a616 /async_16bit_bus_adapter_hw/hdl/async_16bit_bus_adapter.vhd
parent9ddb6a83a8e0f29876c427be70b5ef3eff665ba9 (diff)
downloadsdram_test-732bed585423e7ec853a1d8d1c14c0694d2235b9.tar.gz
sdram_test-732bed585423e7ec853a1d8d1c14c0694d2235b9.tar.bz2
sdram_test-732bed585423e7ec853a1d8d1c14c0694d2235b9.zip
checksumfail
Diffstat (limited to 'async_16bit_bus_adapter_hw/hdl/async_16bit_bus_adapter.vhd')
-rw-r--r--async_16bit_bus_adapter_hw/hdl/async_16bit_bus_adapter.vhd10
1 files changed, 5 insertions, 5 deletions
diff --git a/async_16bit_bus_adapter_hw/hdl/async_16bit_bus_adapter.vhd b/async_16bit_bus_adapter_hw/hdl/async_16bit_bus_adapter.vhd
index 9c8a806..715123f 100644
--- a/async_16bit_bus_adapter_hw/hdl/async_16bit_bus_adapter.vhd
+++ b/async_16bit_bus_adapter_hw/hdl/async_16bit_bus_adapter.vhd
@@ -1,4 +1,4 @@
--- async_8bit_bus_adapter.vhd
+-- async_16bit_bus_adapter.vhd
-- This file was auto-generated as a prototype implementation of a module
-- created in component editor. It ties off all outputs to ground and
@@ -12,7 +12,7 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-entity async_8bit_bus_adapter is
+entity async_16bit_bus_adapter is
generic (
AUTO_CLOCK_CLOCK_RATE : string := "-1"
);
@@ -34,9 +34,9 @@ entity async_8bit_bus_adapter is
b_data_in : in std_logic_vector(15 downto 0) := (others => '0'); -- .export
b_data_out : out std_logic_vector(15 downto 0) -- .export
);
-end entity async_8bit_bus_adapter;
+end entity async_16bit_bus_adapter;
-architecture rtl of async_8bit_bus_adapter is
+architecture rtl of async_16bit_bus_adapter is
signal state:std_logic_vector(2 downto 0);
begin
@@ -51,4 +51,4 @@ begin
wait_n <= b_wait_n;
-end architecture rtl; -- of async_8bit_bus_adapter
+end architecture rtl; -- of async_16bit_bus_adapter