summaryrefslogtreecommitdiffstats
path: root/sdram.vhd
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-13 17:14:59 +0100
committerJames <james.mckenzie@citrix.com>2013-10-13 17:14:59 +0100
commit7d5f96d2e6dd0118226d98b824125d30f0d6e7f3 (patch)
tree4f108ff72909d62a288b0cb55d8cfc86bf38c455 /sdram.vhd
parent66de2329d0a255889f2339c0a8d911fa42d2633d (diff)
downloadsdram-7d5f96d2e6dd0118226d98b824125d30f0d6e7f3.tar.gz
sdram-7d5f96d2e6dd0118226d98b824125d30f0d6e7f3.tar.bz2
sdram-7d5f96d2e6dd0118226d98b824125d30f0d6e7f3.zip
fish
Diffstat (limited to 'sdram.vhd')
-rw-r--r--sdram.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/sdram.vhd b/sdram.vhd
index 829eb7d..9acff22 100644
--- a/sdram.vhd
+++ b/sdram.vhd
@@ -26,7 +26,7 @@ end entity;
architecture rtl of sdram is
-component pll50125 IS
+component pllx2 IS
PORT
(
areset : IN STD_LOGIC := '0';
@@ -101,7 +101,7 @@ signal global_reset_n : std_logic;
begin
- pll: pll50125 port map (
+ pll: pllx2 port map (
pll_reset,
clock_50,
clock_100,