From 7d5f96d2e6dd0118226d98b824125d30f0d6e7f3 Mon Sep 17 00:00:00 2001 From: James Date: Sun, 13 Oct 2013 17:14:59 +0100 Subject: fish --- sdram.vhd | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'sdram.vhd') diff --git a/sdram.vhd b/sdram.vhd index 829eb7d..9acff22 100644 --- a/sdram.vhd +++ b/sdram.vhd @@ -26,7 +26,7 @@ end entity; architecture rtl of sdram is -component pll50125 IS +component pllx2 IS PORT ( areset : IN STD_LOGIC := '0'; @@ -101,7 +101,7 @@ signal global_reset_n : std_logic; begin - pll: pll50125 port map ( + pll: pllx2 port map ( pll_reset, clock_50, clock_100, -- cgit v1.2.3