aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast/ast.h
Commit message (Expand)AuthorAgeFilesLines
* Split out logic for reprocessing an AstModuleRupert Swarbrick2021-10-251-1/+13
* Generate an RTLIL representation of bind constructsRupert Swarbrick2021-08-131-0/+3
* verilog: Emit $meminit_v2 cell.Marcelina Kościelnicka2021-07-281-1/+1
* Add support for parsing the SystemVerilog 'bind' constructRupert Swarbrick2021-07-161-1/+2
* sv: fix two struct access bugsZachary Snow2021-07-151-0/+3
* Merge pull request #2817 from YosysHQ/claire/fixemailsClaire Xen2021-06-091-1/+1
|\
| * Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-081-1/+1
* | verilog: check for module scope identifiers during width detectionZachary Snow2021-06-081-0/+3
|/
* verilog: fix case expression sign and width handlingZachary Snow2021-05-251-1/+1
* Change the type of current_module to ModuleRupert Swarbrick2021-05-131-1/+1
* verilog: Use proc memory writes in the frontend.Marcelina Kościelnicka2021-03-081-0/+2
* frontend: Make helper functions for printing locations.Marcelina Kościelnicka2021-02-231-0/+6
* Merge pull request #2594 from zachjs/func-arg-widthwhitequark2021-02-231-1/+7
|\
| * verilog: fix sizing of constant args for tasks/functionsZachary Snow2021-02-211-1/+7
* | verilog: support recursive functions using ternary expressionsZachary Snow2021-02-121-0/+3
|/
* verilog: refactored constant function evaluationZachary Snow2021-02-041-4/+3
* verilog: significant block scoping improvementsZachary Snow2021-01-311-2/+2
* verilog: improved support for recursive functionsZachary Snow2020-12-311-0/+2
* Added $high(), $low(), $left(), $right()Udi Finkelstein2020-09-151-0/+1
* Fix generate scoping issuesZachary Snow2020-07-311-1/+1
* static cast: support changing size and signednessKazuki Sakamoto2020-06-191-0/+1
* Use C++11 final/override keywords.whitequark2020-06-181-5/+5
* Add latch detection for use_case_method in part-select write, fixes #2040Claire Wolf2020-06-041-0/+1
* Generalise structs and add support for packed unions.Peter Crozier2020-05-121-0/+1
* Implement SV structs.Peter Crozier2020-05-081-2/+5
* Add AST_SELFSZ and improve handling of bit slicesClaire Wolf2020-05-021-0/+1
* Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offs...Claire Wolf2020-05-021-0/+2
* Add LookaheadRewriter for proper bitselwrite supportClaire Wolf2020-04-161-0/+4
* kernel: more pass by const ref, more speedupsEddie Hung2020-03-181-4/+4
* Merge pull request #1718 from boqwxp/precise_locationsClaire Wolf2020-03-031-1/+8
|\
| * Closes #1717. Add more precise Verilog source location information to AST and...Alberto Gonzalez2020-02-231-1/+8
* | ast: quiet down when deriving blackbox modulesEddie Hung2020-02-271-1/+1
|/
* Merge pull request #1642 from jjj11x/jjj11x/sv-enumClaire Wolf2020-02-201-0/+7
|\
| * partial rebase of PeterCrozier's enum work onto current masterJeff Wang2020-01-161-0/+7
* | ast: Add support for $sformatf system functionDavid Shah2020-01-191-0/+1
|/
* Use "(id)" instead of "id" for types as temporary hackClifford Wolf2019-10-141-2/+5
|\
| * sv: Switch parser to glr, prep for typedefDavid Shah2019-10-031-2/+5
* | module->derive() to be lazy and not touch ast if already derivedEddie Hung2019-09-301-1/+1
|/
* Fix handling of read_verilog config in AstModule::reprocess_module(), fixes #...Clifford Wolf2019-09-201-0/+1
* Add "read_verilog -pwires" feature, closes #1106Clifford Wolf2019-06-191-3/+3
* Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-071-1/+0
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-071-1/+3
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-031-1/+3
* | Merge branch 'master' into wandworStefan Biereigel2019-05-271-1/+3
|\ \
| * | Added support for unsized constants, fixes #1022Miodrag Milanovic2019-05-271-1/+3
| |/
* | remove leftovers from ast data structuresStefan Biereigel2019-05-271-1/+0
* | fix indentation across filesStefan Biereigel2019-05-231-1/+1
* | implementation for assignments workingStefan Biereigel2019-05-231-0/+1
* | make lexer/parser aware of wand/wor net typesStefan Biereigel2019-05-231-1/+1
|/
* New behavior for front-end handling of whiteboxesClifford Wolf2019-04-201-2/+2