aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* Remove .0 from clang-8.0Eddie Hung2019-08-231-2/+2
|
* Bump to gcc-5 as `__warn_memset_zero_len' symbol not in 16.04!?!Eddie Hung2019-08-231-3/+5
|
* bionic -> xenial as its on whitelistEddie Hung2019-08-231-1/+1
|
* Bump gcc from 4.8 to 4.9 as undefined referenceEddie Hung2019-08-231-35/+7
| | | | | ... to `__warn_memset_zero_len'. Also remove gcc-6, bump gcc-7 to gcc-9, clang from 5.0 to 8.0
* Make macOS depenency clearMiodrag Milanovic2019-08-231-2/+5
|
* do not require boost if pyosys is not usedMiodrag Milanovic2019-08-221-0/+2
|
* require tcl-tk in BrewfileChris Shucksmith2019-08-221-0/+1
|
* Bump year in copyright noticeClifford Wolf2019-08-223-3/+3
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Visual Studio build fixMiodrag Milanovic2019-08-021-0/+1
|
* Fix linking issue for new mxe and pthreadMiodrag Milanovic2019-08-021-1/+2
|
* Fix yosys linking for mxeMiodrag Milanovic2019-08-021-1/+1
|
* New mxe hacks needed to support 2ca237eMiodrag Milanovic2019-08-021-0/+4
|
* Fix formatting for msys2 mingw build using GetSizeMiodrag Milanovic2019-08-028-17/+20
|
* Update CHANGELOGDavid Shah2019-07-261-10/+101
| | | | Signed-off-by: David Shah <dave@ds0.me>
* Merge pull request #1175 from whitequark/write_verilog-fix-case-attr-positionClifford Wolf2019-07-091-3/+2
| | | write_verilog: fix placement of case attributes
* Update CHANGELOGDavid Shah2019-07-091-0/+1
| | | | Signed-off-by: David Shah <dave@ds0.me>
* Merge pull request #1163 from whitequark/more-case-attrsClifford Wolf2019-07-093-16/+28
| | | More support for case rule attributes
* Merge pull request #1162 from whitequark/rtlil-case-attrsClifford Wolf2019-07-093-5/+15
| | | Allow attributes on individual switch cases in RTLIL
* Merge pull request #1159 from btut/fix/1090_segfault_cell_and_wireClifford Wolf2019-07-091-0/+3
| | | Throw runtime exception when trying to convert inexistend C++ object to Python
* Merge pull request #1147 from YosysHQ/clifford/fix1144Clifford Wolf2019-07-093-82/+26
| | | Improve specify dummy parser
* Merge pull request #1154 from whitequark/manual-sync-alwaysClifford Wolf2019-07-091-2/+3
| | | manual: explain the purpose of `sync always`
* Merge pull request #1153 from YosysHQ/dave/fix_multi_muxDavid Shah2019-07-093-3/+25
| | | memory_dff: Fix checking of feedback mux input when more than one mux
* Fix read_verilog assert/assume/etc on default case label, fixes ↵Clifford Wolf2019-07-091-0/+2
| | | | | | YosysHQ/SymbiYosys#53 Signed-off-by: Clifford Wolf <clifford@clifford.at>
* autotest.sh to define _AUTOTB when test_autotbEddie Hung2019-07-091-1/+1
|
* Merge pull request #1146 from gsomlo/gls-test-abc-extClifford Wolf2019-07-094-8/+29
| | | tests: use optional ABCEXTERNAL when specified
* Checkout yosys-0.9-rc branch of yosys-testsEddie Hung2019-07-021-1/+1
|
* Add missing CHANGELOG entriesEddie Hung2019-06-281-0/+3
|
* Merge pull request #1139 from YosysHQ/dave/check-sim-iverilogEddie Hung2019-06-272-0/+19
|\ | | | | tests: Check that Icarus can parse arch sim models
| * Add simcells.v, simlib.v, and some outputEddie Hung2019-06-271-1/+11
| |
| * tests: Check that Icarus can parse arch sim modelsDavid Shah2019-06-262-0/+9
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | GrrEddie Hung2019-06-271-1/+1
| |
* | CapitalisationEddie Hung2019-06-271-1/+1
| |
* | Make CHANGELOG clearerEddie Hung2019-06-271-0/+1
| |
* | Merge pull request #1143 from YosysHQ/clifford/fix1135Eddie Hung2019-06-274-8/+38
|\ \ | | | | | | Add "pmux2shiftx -norange"
| * | Add #1135 testcaseEddie Hung2019-06-272-5/+26
| | |
| * | Add "pmux2shiftx -norange", fixes #1135Clifford Wolf2019-06-272-3/+12
| |/ | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | synth_xilinx -arch -> -family, consistent with older synth_intelEddie Hung2019-06-271-7/+8
| |
* | Merge pull request #1142 from YosysHQ/clifford/fix1132Eddie Hung2019-06-272-6/+345
|\ \ | | | | | | Fix handling of partial covers in muxcover
| * | Copy tests from eddie/fix1132Eddie Hung2019-06-271-0/+320
| | |
| * | Fix handling of partial covers in muxcover, fixes #1132Clifford Wolf2019-06-271-6/+25
| |/ | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #1138 from YosysHQ/koriakin/xc7nocarrymuxEddie Hung2019-06-272-12/+34
|\ \ | |/ |/| synth_xilinx: Add -nocarry and -nowidelut options
| * GrrrEddie Hung2019-06-261-2/+2
| |
| * Fix spacingEddie Hung2019-06-261-5/+5
| |
| * Oops. Actually use nocarry flag as spotted by @koriakinEddie Hung2019-06-261-5/+7
| |
| * synth_ecp5 rename -nomux to -nowidelut, but preserve formerEddie Hung2019-06-261-6/+6
| |
| * Merge branch 'xc7nocarrymux' of https://github.com/koriakin/yosys into ↵Eddie Hung2019-06-261-4/+24
| |\ | | | | | | | | | koriakin/xc7nocarrymux
| | * synth_xilinx: Add -nocarry and -nomux options.Marcin Kościelnicki2019-04-301-7/+26
| | |
* | | Merge pull request #1137 from mmicko/cell_sim_fixClifford Wolf2019-06-262-14/+1
|\ \ \ | | | | | | | | Simulation model verilog fix
| * | | Simulation model verilog fixMiodrag Milanovic2019-06-262-14/+1
|/ / /
* | | Improve opt_clean handling of unused public wiresClifford Wolf2019-06-261-2/+2
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>