aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svinterfaces
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-05-03 14:40:51 +0200
committerClifford Wolf <clifford@clifford.at>2019-05-03 14:40:51 +0200
commitd2aa123226f39fb6d076b9a0add2ad4f0e596166 (patch)
treeebcf949cac8bec1739f218661280493cb264ae0c /tests/svinterfaces
parent537b90ee88c3023797feee6f3471806fa96e2ab5 (diff)
downloadyosys-d2aa123226f39fb6d076b9a0add2ad4f0e596166.tar.gz
yosys-d2aa123226f39fb6d076b9a0add2ad4f0e596166.tar.bz2
yosys-d2aa123226f39fb6d076b9a0add2ad4f0e596166.zip
Fix typo in tests/svinterfaces/runone.sh
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'tests/svinterfaces')
-rwxr-xr-xtests/svinterfaces/runone.sh4
1 files changed, 2 insertions, 2 deletions
diff --git a/tests/svinterfaces/runone.sh b/tests/svinterfaces/runone.sh
index 71c2d4976..54cf5f2ec 100755
--- a/tests/svinterfaces/runone.sh
+++ b/tests/svinterfaces/runone.sh
@@ -13,8 +13,8 @@ echo -n "Test: ${TESTNAME} -> "
set -e
-$PWD/../../yosys -p "read_verilog -sv ${TESTNAME}.sv ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_syn.v" >> $STDOUTFILE >> $STDERRFILE
-$PWD/../../yosys -p "read_verilog -sv ${TESTNAME}_ref.v ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_ref_syn.v" >> $STDOUTFILE >> $STDERRFILE
+$PWD/../../yosys -p "read_verilog -sv ${TESTNAME}.sv ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_syn.v" >> $STDOUTFILE 2>> $STDERRFILE
+$PWD/../../yosys -p "read_verilog -sv ${TESTNAME}_ref.v ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_ref_syn.v" >> $STDOUTFILE 2>> $STDERRFILE
rm -f a.out reference_result.txt dut_result.txt