aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svinterfaces/runone.sh
diff options
context:
space:
mode:
Diffstat (limited to 'tests/svinterfaces/runone.sh')
-rwxr-xr-xtests/svinterfaces/runone.sh4
1 files changed, 2 insertions, 2 deletions
diff --git a/tests/svinterfaces/runone.sh b/tests/svinterfaces/runone.sh
index 71c2d4976..54cf5f2ec 100755
--- a/tests/svinterfaces/runone.sh
+++ b/tests/svinterfaces/runone.sh
@@ -13,8 +13,8 @@ echo -n "Test: ${TESTNAME} -> "
set -e
-$PWD/../../yosys -p "read_verilog -sv ${TESTNAME}.sv ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_syn.v" >> $STDOUTFILE >> $STDERRFILE
-$PWD/../../yosys -p "read_verilog -sv ${TESTNAME}_ref.v ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_ref_syn.v" >> $STDOUTFILE >> $STDERRFILE
+$PWD/../../yosys -p "read_verilog -sv ${TESTNAME}.sv ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_syn.v" >> $STDOUTFILE 2>> $STDERRFILE
+$PWD/../../yosys -p "read_verilog -sv ${TESTNAME}_ref.v ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_ref_syn.v" >> $STDOUTFILE 2>> $STDERRFILE
rm -f a.out reference_result.txt dut_result.txt