aboutsummaryrefslogtreecommitdiffstats
path: root/CHANGELOG
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2020-01-31 18:20:22 -0300
committerRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2020-01-31 18:20:22 -0300
commit7b3fe404ab30767a8b65f61fa2a6eebbe9019641 (patch)
tree5498675a1b64bfe88e7c5a06e9d52b8a54eff31f /CHANGELOG
parenta1c840ca5d6e8b580e21ae48550570aa9665741a (diff)
downloadyosys-7b3fe404ab30767a8b65f61fa2a6eebbe9019641.tar.gz
yosys-7b3fe404ab30767a8b65f61fa2a6eebbe9019641.tar.bz2
yosys-7b3fe404ab30767a8b65f61fa2a6eebbe9019641.zip
$readmem[hb] file inclusion is now relative to the Verilog file
Signed-off-by: Rodrigo Alejandro Melo <rodrigomelo9@gmail.com>
Diffstat (limited to 'CHANGELOG')
-rw-r--r--CHANGELOG3
1 files changed, 2 insertions, 1 deletions
diff --git a/CHANGELOG b/CHANGELOG
index 481ba266e..4abfeec06 100644
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -53,12 +53,13 @@ Yosys 0.9 .. Yosys 0.9-dev
- Added support for flip-flops with synchronous reset to synth_xilinx
- Added support for flip-flops with reset and enable to synth_xilinx
- Added "check -mapped"
- - Added checking of SystemVerilog always block types (always_comb,
+ - Added checking of SystemVerilog always block types (always_comb,
always_latch and always_ff)
- Added "xilinx_dffopt" pass
- Added "scratchpad" pass
- Added "abc9 -dff"
- Added "synth_xilinx -dff"
+ - Improved support of $readmem[hb] file inclusion which is now relative to the Verilog file
Yosys 0.8 .. Yosys 0.9
----------------------