aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/pkg01/pkg.vhdl
blob: a3c226786570999ec6108fa190d606a9d863d485 (plain)
1
2
3
4
5
6
library ieee;
use ieee.std_logic_1164.all;

package pkg is
  constant mask : std_logic_vector (7 downto 0) := x"0f";
end pkg;