library ieee; use ieee.std_logic_1164.all; package pkg is constant mask : std_logic_vector (7 downto 0) := x"0f"; end pkg;