aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2177/vlm5030_subcircuits.vhd
blob: 5e3da1a9306d0bdc19b9019cf6ca286ae29c3838 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
----------------------------------------------------------------------
--                           VLM5030
--                      www.fpgaarcade.com
--                     All rights reserved.
--
--                     admin@fpgaarcade.com
--
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this
-- file, You can obtain one at https://mozilla.org/MPL/2.0/.
----------------------------------------------------------------------
--
-- Copyright (c) 2021, Arnim Laeuger  arnim.laeuger@gmx.net
-- All rights reserved.
--


-------------------------------------------------------------------------------
-- SR-latch, synchronous to common clock
-------------------------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;

entity vlm5030_srlatch is

  port (
    i_clk : in  std_logic;
    i_res : in  std_logic;
    i_set : in  std_logic;
    o_q   : out std_logic
  );

end;

architecture rtl of vlm5030_srlatch is
  signal q : std_logic := '0';
begin

  process (i_clk)
  begin
    if rising_edge(i_clk) then
      if i_res = '1' then
        q <= '0';
      elsif i_set = '1' then
        q <= '1';
      end if;
    end if;
  end process;

  o_q <= q;

end;


-------------------------------------------------------------------------------
-- SR-latch, synchronous to common clock, r_clk version
-------------------------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;

use work.clock_functions_pack.all;

entity vlm5030_srlatchclk is

  port (
    i_clk : in  r_clk;
    i_res : in  r_clk;
    i_set : in  r_clk;
    o_q   : out r_clk
  );

end;

architecture rtl of vlm5030_srlatchclk is
  signal q : std_logic := '0';
begin

  process (i_clk)
  begin
    if rising_edge(i_clk) then
      if i_res.val = '1' then
        q <= '0';
      elsif i_set.val = '1' then
        q <= '1';
      end if;
    end if;
  end process;

  o_q <= (base => i_clk.base,
          val  => q,
          rise => not q and i_set.val,
          fall =>     q and i_res.val);

end;


-------------------------------------------------------------------------------
-- vlm5030_delay
--
-- Delay input signal by the specified number of clocks.
--
-- NOTE: This is inertial delay.
--
-------------------------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use work.clock_functions_pack.all;

entity vlm5030_delay is

  generic (
    g_numclks : integer := 3
  );
  port (
    i_clk : in  r_clk;
    i_in  : in  std_logic;
    o_out : out std_logic
  );

end;

architecture rtl of vlm5030_delay is
begin

  delay_p : process (i_clk)
    variable cnt : natural := 0;
    variable inq : std_logic := '0';
  begin
    if rising_edge(i_clk) then
      if i_in /= inq then
        cnt := g_numclks-2;
        inq := i_in;
      else
        if cnt > 0 then
          cnt := cnt - 1;
        else
          o_out <= i_in;
        end if;
      end if;
    end if;
  end process;

end;


-------------------------------------------------------------------------------
-- vlm5030_delay_inv
--
-- Invert input signal and delay falling edge of input.
-- The input's rising edge is not delayed.
--
-- NOTE: This is inertial delay.
--
-------------------------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use work.clock_functions_pack.all;

entity vlm5030_delay_inv is

  generic (
    g_numclks : integer := 3
  );
  port (
    i_clk : in  r_clk;
    i_in  : in  std_logic;
    o_out : out std_logic
  );

end;

architecture rtl of vlm5030_delay_inv is
  signal outq : std_logic;
begin

  delay_p : process (i_clk)
    variable cnt : natural := 0;
    variable inq : std_logic := '0';
  begin
    if rising_edge(i_clk) then
      if i_in /= inq then
        cnt := g_numclks-2;
        inq := i_in;
        if i_in = '1' then
          outq <= '1';
        end if;
      else
        if cnt > 0 then
          cnt := cnt - 1;
        else
          outq <= i_in;
        end if;
      end if;
    end if;
  end process;

  o_out <= '0' when i_in = '1' else not outq;

end;