aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1563/bug4.vhdl
blob: 2973e57983ec9d05c16858f5da4e7007dd697959 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;

entity bug4 is
port (
    clock : in std_logic;
    output : out std_logic
);
end;

architecture bug_arch OF bug4 is
  signal t : std_logic := '1';
begin
    process (clock)
    begin
        if rising_edge(clock) then
            t <= '1';
        end if;
        if rising_edge(clock) then
            t <= '0';
        end if;
    end process;

    output <= t;
end bug_arch;