aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1563/bug3.vhdl
blob: 10da2bd1703b351f88e223ee40048d721fd90cf3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity bug3 is
port (
  clock : in std_logic;
  reset : std_logic;
  input : std_logic_vector(3 downto 0);
  output : out std_logic_vector(3 downto 0)
);
end;

architecture bug_arch OF bug3 is
begin
    process (clock)
    begin
      if reset = '1' then
        output(0) <= '0';
      elsif rising_edge(clock) then
        output <= input;
      end if;
    end process;
end bug_arch;