aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1563/bug3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1563/bug3.vhdl')
-rw-r--r--testsuite/synth/issue1563/bug3.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/issue1563/bug3.vhdl b/testsuite/synth/issue1563/bug3.vhdl
new file mode 100644
index 000000000..10da2bd17
--- /dev/null
+++ b/testsuite/synth/issue1563/bug3.vhdl
@@ -0,0 +1,23 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity bug3 is
+port (
+ clock : in std_logic;
+ reset : std_logic;
+ input : std_logic_vector(3 downto 0);
+ output : out std_logic_vector(3 downto 0)
+);
+end;
+
+architecture bug_arch OF bug3 is
+begin
+ process (clock)
+ begin
+ if reset = '1' then
+ output(0) <= '0';
+ elsif rising_edge(clock) then
+ output <= input;
+ end if;
+ end process;
+end bug_arch;