aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1563/bug2.vhdl
blob: bb180029ca31f9c5b49b4332e92cb676608dc5fe (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity bug2 is
port (
    clock : in std_logic;
    output : out std_logic_vector(3 downto 0)
);
end;

architecture bug_arch OF bug2 is
begin
    process (clock)
    begin
        if rising_edge(clock) then
            output <= "0010";
        end if;
        if rising_edge(clock) then
            output(2) <= '1';
        end if;
    end process;
end bug_arch;