aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1563/bug2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1563/bug2.vhdl')
-rw-r--r--testsuite/synth/issue1563/bug2.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue1563/bug2.vhdl b/testsuite/synth/issue1563/bug2.vhdl
new file mode 100644
index 000000000..bb180029c
--- /dev/null
+++ b/testsuite/synth/issue1563/bug2.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity bug2 is
+port (
+ clock : in std_logic;
+ output : out std_logic_vector(3 downto 0)
+);
+end;
+
+architecture bug_arch OF bug2 is
+begin
+ process (clock)
+ begin
+ if rising_edge(clock) then
+ output <= "0010";
+ end if;
+ if rising_edge(clock) then
+ output(2) <= '1';
+ end if;
+ end process;
+end bug_arch;