aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1563/bug.vhdl
blob: 1f75b73530454beaffd25686167d520be9aeef48 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity bug is
port (
    clock : in std_logic;
    output : out std_logic
);
end bug;

architecture bug_arch OF bug is
begin
    process (clock)
    begin
        if rising_edge(clock) then
            output <= '1';
        end if;
        if rising_edge(clock) then
            output <= '0';
        end if;
    end process;
end bug_arch;