aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1563/bug.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1563/bug.vhdl')
-rw-r--r--testsuite/synth/issue1563/bug.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue1563/bug.vhdl b/testsuite/synth/issue1563/bug.vhdl
new file mode 100644
index 000000000..1f75b7353
--- /dev/null
+++ b/testsuite/synth/issue1563/bug.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity bug is
+port (
+ clock : in std_logic;
+ output : out std_logic
+);
+end bug;
+
+architecture bug_arch OF bug is
+begin
+ process (clock)
+ begin
+ if rising_edge(clock) then
+ output <= '1';
+ end if;
+ if rising_edge(clock) then
+ output <= '0';
+ end if;
+ end process;
+end bug_arch;