aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1236/issue.vhdl
blob: 48e9b86477a4d3a1b33e737c2735f484fd300a02 (plain)
1
2
3
4
5
6
7
8
9
10
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    generic (type t_type);
end issue;

architecture beh of issue is
begin
end architecture beh;