library ieee; use ieee.std_logic_1164.all; entity issue is generic (type t_type); end issue; architecture beh of issue is begin end architecture beh;