aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/tb_issue2.vhdl
blob: b853f7ee4065bcf0c4489fac9e43241737c91ca2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity tb_issue2 is
end tb_issue2;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_issue2 is
  signal a : std_logic_vector (3 downto 0);
begin
  dut: entity work.issue2
    port map (a);

  process
  begin
    wait for 1 ns;
    assert a = "1001" severity failure;
    wait;
  end process;
end behav;