aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/tb_issue2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1161/tb_issue2.vhdl')
-rw-r--r--testsuite/synth/issue1161/tb_issue2.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/synth/issue1161/tb_issue2.vhdl b/testsuite/synth/issue1161/tb_issue2.vhdl
new file mode 100644
index 000000000..b853f7ee4
--- /dev/null
+++ b/testsuite/synth/issue1161/tb_issue2.vhdl
@@ -0,0 +1,19 @@
+entity tb_issue2 is
+end tb_issue2;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_issue2 is
+ signal a : std_logic_vector (3 downto 0);
+begin
+ dut: entity work.issue2
+ port map (a);
+
+ process
+ begin
+ wait for 1 ns;
+ assert a = "1001" severity failure;
+ wait;
+ end process;
+end behav;