aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/issue2.vhdl
blob: fdfb7477ab66cd3160f57c1e6a4b8834e4413ffc (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity issue2 is
   port (foo : out std_logic_vector(4-1 downto 0));
end issue2;

architecture rtl of issue2 is
begin
    foo <= (2 downto 1 => "00" ,others=>'1');
end architecture;