aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/issue2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1161/issue2.vhdl')
-rw-r--r--testsuite/synth/issue1161/issue2.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/synth/issue1161/issue2.vhdl b/testsuite/synth/issue1161/issue2.vhdl
new file mode 100644
index 000000000..fdfb7477a
--- /dev/null
+++ b/testsuite/synth/issue1161/issue2.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue2 is
+ port (foo : out std_logic_vector(4-1 downto 0));
+end issue2;
+
+architecture rtl of issue2 is
+begin
+ foo <= (2 downto 1 => "00" ,others=>'1');
+end architecture;