aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/issue1.vhdl
blob: db1ed6aa11359d1ff27b865ad818acd20a46039b (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity issue1 is
   port (foo : out std_logic_vector(4-1 downto 0));
end issue1;

architecture rtl of issue1 is
begin
    foo <= ("0",others=>'1');
end architecture;