aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/issue1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1161/issue1.vhdl')
-rw-r--r--testsuite/synth/issue1161/issue1.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/synth/issue1161/issue1.vhdl b/testsuite/synth/issue1161/issue1.vhdl
new file mode 100644
index 000000000..db1ed6aa1
--- /dev/null
+++ b/testsuite/synth/issue1161/issue1.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue1 is
+ port (foo : out std_logic_vector(4-1 downto 0));
+end issue1;
+
+architecture rtl of issue1 is
+begin
+ foo <= ("0",others=>'1');
+end architecture;