aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1078/ent.vhdl
blob: 16521efbbca0a5c5cd4baa164da279663a277cf8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity ent is
	port (
		o : out std_logic
	);
end;

architecture a of ent is
begin
end;