library ieee; use ieee.std_logic_1164.all; entity ent is port ( o : out std_logic ); end; architecture a of ent is begin end;