aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff02/dff08b.vhdl
blob: 55b8f4dcbe82da39bb7d3357e0541ea78fdb5ffe (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity dff08b is
  port (q : out std_logic_vector(7 downto 0);
        d : std_logic_vector(7 downto 0);
        clk : std_logic;
        en : std_logic;
        rst : std_logic);
end dff08b;

architecture behav of dff08b is
  signal p : std_logic_vector(7 downto 0) := x"aa";
begin
  process (clk, rst) is
  begin
    if en = '0' then
      null;
    elsif rst = '1' then
      p <= x"00";
    elsif rising_edge (clk) then
      p <= d;
    end if;
  end process;
  q <= p;
end behav;