aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/asgn01/asgn02.vhdl
blob: f83690d8b4959f9f04e7ee4049d49eecc37e654a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;

entity asgn02 is
  port (a : std_logic_vector (2 downto 0);
        s0 : std_logic;
        r : out std_logic_vector (2 downto 0));
end asgn02;

architecture behav of asgn02 is
begin
  process (a, s0) is
  begin
    r <= "000";
    if s0 = '1' then
      r (1) <= '1';
    end if;
  end process;
end behav;