aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/asgn01/asgn02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/asgn01/asgn02.vhdl')
-rw-r--r--testsuite/synth/asgn01/asgn02.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/synth/asgn01/asgn02.vhdl b/testsuite/synth/asgn01/asgn02.vhdl
new file mode 100644
index 000000000..f83690d8b
--- /dev/null
+++ b/testsuite/synth/asgn01/asgn02.vhdl
@@ -0,0 +1,19 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity asgn02 is
+ port (a : std_logic_vector (2 downto 0);
+ s0 : std_logic;
+ r : out std_logic_vector (2 downto 0));
+end asgn02;
+
+architecture behav of asgn02 is
+begin
+ process (a, s0) is
+ begin
+ r <= "000";
+ if s0 = '1' then
+ r (1) <= '1';
+ end if;
+ end process;
+end behav;