aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/lib01/and2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/lib01/and2.vhdl')
-rw-r--r--testsuite/synth/lib01/and2.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/synth/lib01/and2.vhdl b/testsuite/synth/lib01/and2.vhdl
new file mode 100644
index 000000000..e2d18ed02
--- /dev/null
+++ b/testsuite/synth/lib01/and2.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity and2 is
+ port (a, b : std_logic;
+ o : out std_logic);
+end and2;
+
+architecture behav of and2 is
+begin
+ o <= a and b;
+end behav;