aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue964/ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue964/ent.vhdl')
-rw-r--r--testsuite/synth/issue964/ent.vhdl28
1 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/synth/issue964/ent.vhdl b/testsuite/synth/issue964/ent.vhdl
new file mode 100644
index 000000000..abed11a80
--- /dev/null
+++ b/testsuite/synth/issue964/ent.vhdl
@@ -0,0 +1,28 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ port (
+ clk : in std_logic;
+ reset : in std_logic;
+ enable : in std_logic;
+ q : out std_logic
+ );
+end;
+
+architecture a of ent is
+ signal s : std_logic;
+begin
+ process(clk, reset)
+ begin
+ if reset = '1' then
+ s <= '0';
+ elsif enable /= '1' then
+ -- [nothing]
+ elsif rising_edge(clk) then
+ s <= not s;
+ end if;
+ end process;
+
+ q <= s;
+end;