aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/fsm03/assert4.psl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/fsm03/assert4.psl')
-rw-r--r--testsuite/synth/fsm03/assert4.psl10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/synth/fsm03/assert4.psl b/testsuite/synth/fsm03/assert4.psl
new file mode 100644
index 000000000..a846b18b9
--- /dev/null
+++ b/testsuite/synth/fsm03/assert4.psl
@@ -0,0 +1,10 @@
+vunit assert3 (ent)
+{
+ default clock is rising_edge(clk);
+ function get_nval (v : std_logic) return std_logic is
+ begin
+ return not v;
+ end get_nval;
+ -- signal nval : std_logic;
+ my_cond: assert always {get_nval (val); req} |=> eventually! ack;
+}