aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/fsm03/assert2.psl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/fsm03/assert2.psl')
-rw-r--r--testsuite/synth/fsm03/assert2.psl5
1 files changed, 5 insertions, 0 deletions
diff --git a/testsuite/synth/fsm03/assert2.psl b/testsuite/synth/fsm03/assert2.psl
new file mode 100644
index 000000000..498adb2fb
--- /dev/null
+++ b/testsuite/synth/fsm03/assert2.psl
@@ -0,0 +1,5 @@
+vunit assert1 (ent)
+{
+ default clock is rising_edge(clk);
+ assert always {val; req} |-> eventually! ack;
+}