aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/fsm03/assert2.psl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-10-31 18:28:53 +0100
committerTristan Gingold <tgingold@free.fr>2019-10-31 18:28:53 +0100
commit6aa790b8d8ec7f3afa20fec929acaabb48ed8ca8 (patch)
treedb5160491bbf6f91be1c5c553be7ef1ebe10f69b /testsuite/synth/fsm03/assert2.psl
parent5790c35aaa4e69e421367850e59cd676f1c54787 (diff)
downloadghdl-6aa790b8d8ec7f3afa20fec929acaabb48ed8ca8.tar.gz
ghdl-6aa790b8d8ec7f3afa20fec929acaabb48ed8ca8.tar.bz2
ghdl-6aa790b8d8ec7f3afa20fec929acaabb48ed8ca8.zip
testsuite/synth: add testcase for psl.
Diffstat (limited to 'testsuite/synth/fsm03/assert2.psl')
-rw-r--r--testsuite/synth/fsm03/assert2.psl5
1 files changed, 5 insertions, 0 deletions
diff --git a/testsuite/synth/fsm03/assert2.psl b/testsuite/synth/fsm03/assert2.psl
new file mode 100644
index 000000000..498adb2fb
--- /dev/null
+++ b/testsuite/synth/fsm03/assert2.psl
@@ -0,0 +1,5 @@
+vunit assert1 (ent)
+{
+ default clock is rising_edge(clk);
+ assert always {val; req} |-> eventually! ack;
+}