aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff02/dff08.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dff02/dff08.vhdl')
-rw-r--r--testsuite/synth/dff02/dff08.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/synth/dff02/dff08.vhdl b/testsuite/synth/dff02/dff08.vhdl
new file mode 100644
index 000000000..da12d2075
--- /dev/null
+++ b/testsuite/synth/dff02/dff08.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity dff08 is
+ port (q : out std_logic_vector(7 downto 0);
+ d : std_logic_vector(7 downto 0);
+ clk : std_logic;
+ en : std_logic;
+ rst : std_logic);
+end dff08;
+
+architecture behav of dff08 is
+ signal p : std_logic_vector(7 downto 0);
+begin
+ process (clk, rst) is
+ begin
+ if en = '0' then
+ null;
+ elsif rst = '1' then
+ q <= x"00";
+ elsif rising_edge (clk) then
+ q <= d;
+ end if;
+ end process;
+end behav;