diff options
Diffstat (limited to 'testsuite/synth/asgn01/asgn02.vhdl')
-rw-r--r-- | testsuite/synth/asgn01/asgn02.vhdl | 5 |
1 files changed, 2 insertions, 3 deletions
diff --git a/testsuite/synth/asgn01/asgn02.vhdl b/testsuite/synth/asgn01/asgn02.vhdl index f83690d8b..bb024de55 100644 --- a/testsuite/synth/asgn01/asgn02.vhdl +++ b/testsuite/synth/asgn01/asgn02.vhdl @@ -2,14 +2,13 @@ library ieee; use ieee.std_logic_1164.all; entity asgn02 is - port (a : std_logic_vector (2 downto 0); - s0 : std_logic; + port (s0 : std_logic; r : out std_logic_vector (2 downto 0)); end asgn02; architecture behav of asgn02 is begin - process (a, s0) is + process (s0) is begin r <= "000"; if s0 = '1' then |