aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue233/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue233/repro.vhdl')
-rw-r--r--testsuite/gna/issue233/repro.vhdl41
1 files changed, 41 insertions, 0 deletions
diff --git a/testsuite/gna/issue233/repro.vhdl b/testsuite/gna/issue233/repro.vhdl
new file mode 100644
index 000000000..c0a826030
--- /dev/null
+++ b/testsuite/gna/issue233/repro.vhdl
@@ -0,0 +1,41 @@
+ENTITY test1 IS
+ PORT (
+ i : IN integer);
+END ENTITY test1;
+
+architecture behav of test1 is
+begin
+ process
+ begin
+ wait for 1 ns;
+ assert i = 5 report "bad signal value" severity failure;
+ wait;
+ end process;
+end behav;
+
+ENTITY test IS
+ PORT (
+ o : OUT integer);
+END ENTITY test;
+
+ARCHITECTURE rtl OF test IS
+
+BEGIN
+
+ test1_1 : ENTITY work.test1
+ PORT MAP (
+ i => o);
+
+END ARCHITECTURE rtl;
+
+entity repro is
+end repro;
+
+architecture behav of repro is
+ signal s : integer;
+begin
+
+ t: entity work.test port map (s);
+
+ s <= 5;
+end behav;