aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-05-24 19:13:14 +0200
committerTristan Gingold <tgingold@free.fr>2021-05-24 19:13:14 +0200
commitdfabd89fe70678316caa7d070080230ea33d2f66 (patch)
treec134d296d63aef6b173593da060d129037e3b6a0 /testsuite
parentbef358e169c4ff01c9815bda105f26f7c999cf10 (diff)
downloadghdl-dfabd89fe70678316caa7d070080230ea33d2f66.tar.gz
ghdl-dfabd89fe70678316caa7d070080230ea33d2f66.tar.bz2
ghdl-dfabd89fe70678316caa7d070080230ea33d2f66.zip
testsuite/gna: add a test for #1771
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1771/tf.vhdl7
1 files changed, 7 insertions, 0 deletions
diff --git a/testsuite/gna/issue1771/tf.vhdl b/testsuite/gna/issue1771/tf.vhdl
index 77039f15e..decc39a41 100644
--- a/testsuite/gna/issue1771/tf.vhdl
+++ b/testsuite/gna/issue1771/tf.vhdl
@@ -26,6 +26,13 @@ begin
wait for 1 ns;
assert z = eres;
report to_bstring(z);
+
+ x := b"1101";
+ y := b"0111_000";
+ ci := '1';
+ add_carry (L => x, R => y, c_in => ci, result => z, c_out => co);
+ wait for 1 ns;
+ report "res=" & to_bstring(z) & ", co=" & to_string(co);
wait;
end process tt;
end architecture testbench;