aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-01-11 07:43:37 +0100
committerTristan Gingold <tgingold@free.fr>2020-01-11 07:43:37 +0100
commitd85003f32eb6d1d2384c2748d2d4089cd1636d87 (patch)
treeda156c84e12c25bed26e9daa752c38a6c6c54afa /testsuite
parentc2f7e242b048ae2691681d62b099ecf9634d6407 (diff)
downloadghdl-d85003f32eb6d1d2384c2748d2d4089cd1636d87.tar.gz
ghdl-d85003f32eb6d1d2384c2748d2d4089cd1636d87.tar.bz2
ghdl-d85003f32eb6d1d2384c2748d2d4089cd1636d87.zip
testsuite/synth: add a test for #1078
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue1078/ent.vhdl12
-rwxr-xr-xtestsuite/synth/issue1078/testsuite.sh7
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/synth/issue1078/ent.vhdl b/testsuite/synth/issue1078/ent.vhdl
new file mode 100644
index 000000000..16521efbb
--- /dev/null
+++ b/testsuite/synth/issue1078/ent.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ port (
+ o : out std_logic
+ );
+end;
+
+architecture a of ent is
+begin
+end;
diff --git a/testsuite/synth/issue1078/testsuite.sh b/testsuite/synth/issue1078/testsuite.sh
new file mode 100755
index 000000000..11b3ee2be
--- /dev/null
+++ b/testsuite/synth/issue1078/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+! synth ent.vhdl -e
+
+echo "Test successful"