aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1078/ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1078/ent.vhdl')
-rw-r--r--testsuite/synth/issue1078/ent.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/synth/issue1078/ent.vhdl b/testsuite/synth/issue1078/ent.vhdl
new file mode 100644
index 000000000..16521efbb
--- /dev/null
+++ b/testsuite/synth/issue1078/ent.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ port (
+ o : out std_logic
+ );
+end;
+
+architecture a of ent is
+begin
+end;