aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-18 18:26:19 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-19 15:25:07 +0200
commitbc693d0a5a725a2806656117d65b926150e71cb4 (patch)
tree6f951c1552ff2b172a5fc192533047b347d9a7f2 /testsuite
parent4e227b02c6ff0c12ce586295a88176a9af2c3889 (diff)
downloadghdl-bc693d0a5a725a2806656117d65b926150e71cb4.tar.gz
ghdl-bc693d0a5a725a2806656117d65b926150e71cb4.tar.bz2
ghdl-bc693d0a5a725a2806656117d65b926150e71cb4.zip
Better aggregate handling
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/pyunit/SimpleEntity.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/pyunit/SimpleEntity.vhdl b/testsuite/pyunit/SimpleEntity.vhdl
index 8d5b034bb..12068c06d 100644
--- a/testsuite/pyunit/SimpleEntity.vhdl
+++ b/testsuite/pyunit/SimpleEntity.vhdl
@@ -29,7 +29,7 @@ begin
end architecture behav;
package package_1 is
- constant ghdl : float := (3, 5); -- 2.3;
+ constant ghdl : float := (3, 5, 0 => 5, 3 => 4, name => 10); -- 2.3;
end package;
package body package_1 is