From bc693d0a5a725a2806656117d65b926150e71cb4 Mon Sep 17 00:00:00 2001 From: Patrick Lehmann Date: Fri, 18 Jun 2021 18:26:19 +0200 Subject: Better aggregate handling --- testsuite/pyunit/SimpleEntity.vhdl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'testsuite') diff --git a/testsuite/pyunit/SimpleEntity.vhdl b/testsuite/pyunit/SimpleEntity.vhdl index 8d5b034bb..12068c06d 100644 --- a/testsuite/pyunit/SimpleEntity.vhdl +++ b/testsuite/pyunit/SimpleEntity.vhdl @@ -29,7 +29,7 @@ begin end architecture behav; package package_1 is - constant ghdl : float := (3, 5); -- 2.3; + constant ghdl : float := (3, 5, 0 => 5, 3 => 4, name => 10); -- 2.3; end package; package body package_1 is -- cgit v1.2.3