aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-03-14 06:50:00 +0100
committerTristan Gingold <tgingold@free.fr>2017-03-14 06:50:00 +0100
commita9f8777e0fbb1b4ff5d8f6f7219a07782d755a4a (patch)
tree2f871e376524e13d153f56ec1b2e456bfb00adc3 /testsuite
parentd922decc915578dd0d750a139cb7a4fff36f1286 (diff)
downloadghdl-a9f8777e0fbb1b4ff5d8f6f7219a07782d755a4a.tar.gz
ghdl-a9f8777e0fbb1b4ff5d8f6f7219a07782d755a4a.tar.bz2
ghdl-a9f8777e0fbb1b4ff5d8f6f7219a07782d755a4a.zip
Add testcase for #316
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue316/gen_pkg.vhdl13
-rwxr-xr-xtestsuite/gna/issue316/testsuite.sh10
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue316/gen_pkg.vhdl b/testsuite/gna/issue316/gen_pkg.vhdl
new file mode 100644
index 000000000..5a730df51
--- /dev/null
+++ b/testsuite/gna/issue316/gen_pkg.vhdl
@@ -0,0 +1,13 @@
+package gen_pkg is
+ generic (
+ type T;
+ function "-"(a : T) return T-- is <>;
+ );
+end package;
+
+use work.gen_pkg;
+
+package p is new gen_pkg
+ generic map (
+ T => T_1000
+ );
diff --git a/testsuite/gna/issue316/testsuite.sh b/testsuite/gna/issue316/testsuite.sh
new file mode 100755
index 000000000..8175b86d2
--- /dev/null
+++ b/testsuite/gna/issue316/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure gen_pkg.vhdl
+
+clean
+
+echo "Test successful"